AMBPEX5_v20_SX50T_CORE
adm/cl_ambpex5/rtl/ctrl_adsp_v2_decode_cmd_adr_cs.vhd
00001 ---------------------------------------------------------------------------------------------------
00002 --
00003 -- Title       : ctrl_adsp_v2_decode_cmd_adr_cs
00004 -- Author      : Dmitry Smekhov, Ilya Ivanov
00005 -- Company     : Instrumental System
00006 --
00007 -- Version     : 1.1
00008 ---------------------------------------------------------------------------------------------------
00009 --
00010 -- Description :  Модуль декодирования сигнала чтения регистра CMD_ADR для Virtex2
00011 --
00012 ---------------------------------------------------------------------------------------------------
00013 --
00014 --      Version 1.1 17.06.2005
00015 --                              Удалены атрибуты RLOC и компоненты FMAP
00016 --
00017 ---------------------------------------------------------------------------------------------------
00018 
00019 library IEEE;
00020 use IEEE.STD_LOGIC_1164.all;  
00021 use ieee.std_logic_arith.all;
00022 
00023 -- synopsys translate_off
00024 library ieee;
00025 use ieee.vital_timing.all;      
00026 -- synopsys translate_on
00027 
00028 library unisim;
00029 use unisim.VCOMPONENTS.all;
00030 
00031 
00032 entity ctrl_adsp_v2_decode_cmd_adr_cs is
00033         port (
00034                 reset           : in std_logic;                 -- 0 - сброс
00035                 clk                     : in std_logic;                 -- тактовая частота
00036                 adr                     : in std_logic_vector( 4 downto 0 );    -- шина адреса
00037                 rd                      : in std_logic;                                                 -- 0 - чтение данных
00038                 data_cs         : out std_logic                                                 -- 0 - чтение данных
00039         );
00040 end ctrl_adsp_v2_decode_cmd_adr_cs;
00041 
00042 
00043 architecture ctrl_adsp_v2_decode_cmd_adr_cs of ctrl_adsp_v2_decode_cmd_adr_cs is
00044 
00045 signal cs1      : std_logic;    -- 0 - чтение данных
00046 
00047 --attribute rloc        : string;
00048 --attribute rloc        of xcs1 : label is "X0Y0";
00049 --attribute rloc        of xd   : label is "X0Y0";
00050 
00051 begin
00052         
00053         
00054         
00055 cs1 <='0' when  adr( 1 downto 0 )="10" 
00056                                 else '1'  after 1 ns;
00057 
00058 --xcs1: fmap port map( o=>cs1, i1=>'0', i2=>'0', i3=>adr(0), i4=>adr(1) );
00059 xd:       fd   port map( q =>data_cs, c =>clk , d =>cs1  );
00060 
00061 
00062 end ctrl_adsp_v2_decode_cmd_adr_cs;