AMBPEX5_v20_SX50T_CORE
adm/cl_ambpex5/rtl/ctrl_adsp_v2_decode_data_in_cs.vhd
00001 ---------------------------------------------------------------------------------------------------
00002 --
00003 -- Title       : ctrl_adsp_v2_decode_data_in_cs
00004 -- Author      : Dmitry Smekhov, Ilya Ivanov
00005 -- Company     : Instrumental System
00006 --
00007 -- Version     : 1.1
00008 ---------------------------------------------------------------------------------------------------
00009 --
00010 -- Description :  Модуль декодирования сигнала чтения внешних данных для Virtex2
00011 --
00012 ---------------------------------------------------------------------------------------------------
00013 --
00014 --      Version 1.1 17.06.2005
00015 --                              Удалены атрибуты RLOC и компоненты FMAP
00016 --
00017 ---------------------------------------------------------------------------------------------------
00018 
00019 
00020 library IEEE;
00021 use IEEE.STD_LOGIC_1164.all;  
00022 use ieee.std_logic_arith.all;
00023 
00024 -- synopsys translate_off
00025 library ieee;
00026 use ieee.vital_timing.all;      
00027 -- synopsys translate_on
00028 
00029 library unisim;
00030 use unisim.VCOMPONENTS.all;
00031 
00032 
00033 entity ctrl_adsp_v2_decode_data_in_cs is        
00034         port (
00035                 reset           : in std_logic;                 -- 0 - сброс
00036                 clk                     : in std_logic;                 -- тактовая частота
00037                 cmd_adr         : in std_logic_vector( 9 downto 8 );    -- косвенный адрес
00038                 adr                     : in std_logic_vector( 4 downto 0 );    -- шина адреса
00039                 rd                      : in std_logic;                                                 -- 0 - чтение данных
00040                 data_cs         : out std_logic                                                 -- 0 - чтение данных
00041         );
00042 end ctrl_adsp_v2_decode_data_in_cs;
00043 
00044 
00045 architecture ctrl_adsp_v2_decode_data_in_cs of ctrl_adsp_v2_decode_data_in_cs is
00046 
00047 signal cs1      : std_logic;    -- 0 - чтение данных
00048 
00049 --attribute rloc        : string;
00050 --attribute rloc        of xcs1 : label is "X0Y0";
00051 --attribute rloc        of xd   : label is "X0Y0";
00052 
00053 begin
00054         
00055         
00056         
00057 cs1 <='0' when  adr( 1 downto 0 )="00" or
00058                                 adr( 1 downto 0 )="01" or
00059                                 ( adr( 1 downto 0 )="11" and cmd_adr(9)='1' )
00060                                 else '1'  after 1 ns;
00061 
00062 --xcs1: fmap port map( o=>cs1, i1=>'0', i2=>cmd_adr(9), i3=>adr(0), i4=>adr(1) );
00063 xd:       fd   port map( q =>data_cs, c =>clk , d =>cs1  );
00064 
00065 
00066 end ctrl_adsp_v2_decode_data_in_cs;
00067