AMBPEX5_v20_SX50T_CORE
adm/core_s3_empty/ctrl_buft32.vhd
00001 ---------------------------------------------------------------------------------------------------
00002 --
00003 -- Title       : ctrl_buft32.vhd
00004 -- Author      : Dmitry Smekhov
00005 -- Company     : Instrumental System
00006 -- E-mail          : dsmv@insys.ru
00007 --
00008 -- Version  1.0
00009 --
00010 ---------------------------------------------------------------------------------------------------
00011 --
00012 -- Description :  Заглушка для ПЛИС Spartan-3
00013 --                                Используется на выходе данных тетрады,
00014 --                                при этом выход данных тетрады нельзя подключать 
00015 --                                на общую шину.
00016 --
00017 ---------------------------------------------------------------------------------------------------
00018 
00019 
00020 library ieee;
00021 use ieee.std_logic_1164.all;
00022 
00023 
00024 
00025 entity ctrl_buft32 is
00026         port (
00027                 t       : in std_logic;
00028                 i       : in std_logic_vector( 31 downto 0 );
00029                 o       : out std_logic_vector( 31 downto 0 )
00030         );
00031         
00032 end ctrl_buft32;
00033 
00034 
00035 architecture ctrl_buft32 of ctrl_buft32 is
00036 begin
00037 
00038         o <= i;
00039 
00040 end ctrl_buft32;