DS_DMA
Records | Libraries | Packages
core64_type_pkg Package Reference

Определение типов для проекта DS_DMA64. Подробнее...

Полный список членов класса



Libraries

ieee 

Packages

std_logic_1164 

Records

type_trn_tx : record 
 Передача данных в узел PCIE.
trn_td  std_logic_vector ( ( 64 - 1 ) downto 0 )
trn_trem_n  std_logic_vector ( 7 downto 0 )
trn_tsof_n  std_logic
trn_teof_n  std_logic
trn_tsrc_dsc_n  std_logic
trn_tsrc_rdy_n  std_logic
trn_terrfwd_n  std_logic
type_trn_tx_back : record 
 Готовность к передачи данных в узел PCIE.
trn_tdst_dsc_n  std_logic
trn_tdst_rdy_n  std_logic
trn_tbuf_av  std_logic_vector ( 5 downto 0 )
cfg_dcommand  std_logic_vector ( 15 downto 0 )
type_trn_rx : record 
 Приём данных из узла PCIE.
trn_rd  std_logic_vector ( ( 64 - 1 ) downto 0 )
trn_rrem_n  std_logic_vector ( 7 downto 0 )
trn_rsof_n  std_logic
trn_reof_n  std_logic
trn_rsrc_dsc_n  std_logic
trn_rsrc_rdy_n  std_logic
trn_rbar_hit_n  std_logic_vector ( 6 downto 0 )
trn_rerrfwd_n  std_logic
trn_rfc_npd_av  std_logic_vector ( 11 downto 0 )
trn_rfc_nph_av  std_logic_vector ( 7 downto 0 )
trn_rfc_pd_av  std_logic_vector ( 11 downto 0 )
trn_rfc_ph_av  std_logic_vector ( 7 downto 0 )
type_trn_rx_back : record 
 Готовность к приёму данных из узла PCIE.
trn_rdst_rdy_n  std_logic
trn_rnp_ok_n  std_logic
trn_rcpl_streaming_n  std_logic
type_axi_tx : record 
 Передача данных в узел PCIE. Интерфейс AXI.
s_axis_tx_tdata  std_logic_vector ( 63 downto 0 )
s_axis_tx_tstrb  std_logic_vector ( 7 downto 0 )
s_axis_tx_tuser  std_logic_vector ( 3 downto 0 )
s_axis_tx_tlast  std_logic
s_axis_tx_tvalid  std_logic
tx_cfg_gnt  std_logic
fc_sel  std_logic_vector ( 2 downto 0 )
type_axi_tx_back : record 
 Готовность к передачи данных в узел PCIE. Интерфейс AXI.
tx_cfg_req  std_logic
tx_err_drop  std_logic
s_axis_tx_tready  std_logic
trn_tbuf_av  std_logic_vector ( 5 downto 0 )
cfg_dcommand  std_logic_vector ( 15 downto 0 )
fc_cpld  std_logic_vector ( 11 downto 0 )
fc_cplh  std_logic_vector ( 7 downto 0 )
fc_npd  std_logic_vector ( 11 downto 0 )
fc_nph  std_logic_vector ( 7 downto 0 )
fc_pd  std_logic_vector ( 11 downto 0 )
fc_ph  std_logic_vector ( 7 downto 0 )
type_axi_rx : record 
 Приём данных из узла PCIE. Интерфейс AXI.
m_axis_rx_tdata  std_logic_vector ( 63 downto 0 )
m_axis_rx_tstrb  std_logic_vector ( 7 downto 0 )
m_axis_rx_tlast  std_logic
m_axis_rx_tvalid  std_logic
m_axis_rx_tuser  std_logic_vector ( 21 downto 0 )
type_axi_rx_back : record 
 Готовность к приёму данных из узла PCIE. Интерфейс AXI.
m_axis_rx_tready  std_logic
rx_np_ok  std_logic
type_reg_access : record 
 Доступ к регистрам
adr  std_logic_vector ( 31 downto 0 )
 адрес регистра
data  std_logic_vector ( 31 downto 0 )
 данные для записи в регистр
req_wr  std_logic_vector ( 1 downto 0 )
 1 - требование записи в регистр
req_rd  std_logic_vector ( 1 downto 0 )
 1 - требование чтения из регистра
type_reg_access_back : record 
 Доступ к регистрам - ответный пакет
data  std_logic_vector ( 31 downto 0 )
 данные для записи в регистр
data_we  std_logic
 1 - строб данных
complete  std_logic
 1 - операция завершена
type_rx_tx_engine : record 
 RX->TX.
request_reg_wr  std_logic
 1 - запрос на запись в регистр
request_reg_rd  std_logic
 1 - запрос на чтение из регистра
request_tag  std_logic_vector ( 7 downto 0 )
 идентификатор запроса
request_tc  std_logic_vector ( 2 downto 0 )
 Traffic class.
request_attr  std_logic_vector ( 3 downto 0 )
 атрибуты
request_id  std_logic_vector ( 15 downto 0 )
 ID получателя запроса
complete_we  std_logic
 1 - запись ответа на запрос
lower_adr  std_logic_vector ( 6 downto 2 )
 младшие разряды адреса
type_tx_rx_engine : record 
 TX->RX.
complete_reg  std_logic
 1 - завершение доступа к регистру
type_rx_ext_fifo : record 
 RX->EXT_FIFO.
adr  std_logic_vector ( 8 downto 0 )
 адрес
data  std_logic_vector ( 63 downto 0 )
 данные
data_we  std_logic
 1 - запись данных
type_tx_ext_fifo : record 
 TX->EXT_FIFO.
adr  std_logic_vector ( 8 downto 0 )
 адрес
complete_ok  std_logic
 1 - успешное завершение операции
complete_error  std_logic
 1 - операция завершена с ошибкой
type_tx_ext_fifo_back : record 
 TX->EXT_FIFO_BACK.
data  std_logic_vector ( 63 downto 0 )
 данные
req_wr  std_logic
 1 - требование записи блока 4 кБ
req_rd  std_logic
 1 - требование чтения
rd_size  std_logic
 0 - 512 байт, 1 - 4 кБ
pci_adr  std_logic_vector ( 39 downto 8 )
 адрес на шине PCI.
type_reg_disp : record 
 REG->DISP.
adr  std_logic_vector ( 31 downto 0 )
 адрес
data  std_logic_vector ( 31 downto 0 )
 данные
request_reg_wr  std_logic
 1 - запрос на запись в регистр
request_reg_rd  std_logic
 1 - запрос на чтение из регистра
type_reg_disp_back : record 
 REG->DISP BACK.
data  std_logic_vector ( 31 downto 0 )
 данные
data_we  std_logic
 1 - строб записи данных
complete  std_logic
 1 - операция завершена
type_reg_ext_fifo : record 
 REG->EXT_FIFO.
adr  std_logic_vector ( 6 downto 0 )
 адрес
data  std_logic_vector ( 31 downto 0 )
 данные
data_we  std_logic
 1 - запись в регистры
type_reg_ext_fifo_back : record 
 REG->EXT_FIFO BACK.
data  std_logic_vector ( 31 downto 0 )
 данные
type_pb_master : record 
 PB_DATA_MASTER.
stb0  std_logic
 1 - строб команды и адреса
stb1  std_logic
 1 - строб данных
cmd  std_logic_vector ( 2 downto 0 )
 команда
adr  std_logic_vector ( 31 downto 0 )
 адрес
data  std_logic_vector ( 63 downto 0 )
 данные
type_pb_slave : record 
 PB_DATA_SLAVE.
stb0  std_logic
 1 - строб команды и адреса
stb1  std_logic
 1 - строб данных
data  std_logic_vector ( 63 downto 0 )
 данные
dmar  std_logic_vector ( 1 downto 0 )
 1 - запрос DMA
irq  std_logic
 1 - запрос прерывания
type_ext_fifo_disp : record 
 EXT_FIFO -> DISP.
adr  std_logic_vector ( 31 downto 0 )
 адрес
data  std_logic_vector ( 63 downto 0 )
 данные
data_we  std_logic
 1 - запись
request_wr  std_logic
 1 - запрос на запись в регистр
request_rd  std_logic
 1 - запрос на чтение из регистра
type_ext_fifo_disp_back : record 
 EXT_FIFO -> DISP BACK.
data  std_logic_vector ( 63 downto 0 )
 данные
data_we  std_logic
 1 - запись
dmar  std_logic_vector ( 1 downto 0 )
 1 - запрос DMA
allow_wr  std_logic
 1 - разрешение записи
irq  std_logic
 1 - запрос прерывания

Подробное описание

Определение типов для проекта DS_DMA64.

См. определение в файле core64_type_pkg.vhd строка 21


Объявления и описания членов класса находятся в файле: