AMBPEX5_v20_SX50T_CORE
ctrl_freq Cписок членов класса
Полный список членов класса ctrl_freq, включая наследуемые из базового класса
a (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Port]
b (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Port]
clk (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Port]
cnt_value (определено в ctrl_freq)ctrl_freq [Signal]
cnt_value_adc (определено в ctrl_freq)ctrl_freq [Signal]
cnt_value_adc0 (определено в ctrl_freq)ctrl_freq [Signal]
ctrl_multiplier_v1_0 (определено в ctrl_freq)ctrl_freq [Component]
Freq (определено в ctrl_freq)ctrl_freq [Constant]
freq_sys (определено в ctrl_freq)ctrl_freq [Signal]
ieee (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Library]
new_cnt (определено в ctrl_freq)ctrl_freq [Signal]
new_cnt_c (определено в ctrl_freq)ctrl_freq [Signal]
new_cnt_z (определено в ctrl_freq)ctrl_freq [Signal]
new_cnt_z1 (определено в ctrl_freq)ctrl_freq [Signal]
new_cnt_z2 (определено в ctrl_freq)ctrl_freq [Signal]
p (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Port]
pr_value(clk_sys, reset) (определено в ctrl_freq)ctrl_freq [Process]
pr_value_adc(clk_in) (определено в ctrl_freq)ctrl_freq [Process]
result_freq (определено в ctrl_freq)ctrl_freq [Signal]
std_logic_1164 (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Package]
U0 (определено в ctrl_multiplier_v1_0_a)ctrl_multiplier_v1_0_a [Component Instantiation]
wrapped_ctrl_multiplier_v1_0 (определено в ctrl_multiplier_v1_0_a)ctrl_multiplier_v1_0_a [Component]
x_mult (определено в ctrl_freq)ctrl_freq [Component Instantiation]
XilinxCoreLib (определено в ctrl_multiplier_v1_0)ctrl_multiplier_v1_0 [Library]