AMBPEX5_v20_SX50T_CORE
Components | Constants | Signals | Component Instantiations | Processes
ctrl_freq Architecture Reference
Граф наследования:ctrl_freq:
ctrl_multiplier_v1_0 ctrl_multiplier_v1_0_a ctrl_freq ctrl_freq_pkg trd_test_ctrl_m1 trd_test_ctrl_m1 ambpex5_v20_sx50t_core trd_test_ctrl_m1_pkg ambpex5_v20_sx50t_core ambpex5_v20_sx50t_core_pkg stend_ambpex5_core stend_ambpex5_core_m2 stend_ambpex5_core stend_ambpex5_core_m2

Полный список членов класса



Processes

pr_value  ( clk_sys , reset )
pr_value_adc  ( clk_in )

Components

ctrl_multiplier_v1_0  <Entity ctrl_multiplier_v1_0>

Constants

Freq  integer := SystemFreq *FreqDiv/ 2

Signals

cnt_value  std_logic_vector ( 12 downto 0 )
cnt_value_adc0  std_logic_vector ( 15 downto 0 )
cnt_value_adc  std_logic_vector ( 15 downto 0 )
freq_sys  std_logic_vector ( 10 downto 0 )
result_freq  std_logic_vector ( 26 downto 0 )
new_cnt_c  std_logic_vector ( 3 downto 0 )
new_cnt  std_logic
new_cnt_z  std_logic
new_cnt_z1  std_logic
new_cnt_z2  std_logic

Component Instantiations

x_mult  ctrl_multiplier_v1_0 <Entity ctrl_multiplier_v1_0>

Подробное описание

См. определение в файле ctrl_freq.vhd строка 76


Объявления и описания членов класса находятся в файле: