AMBPEX5_v20_SX50T_CORE
Records | Types | Components | Libraries | Packages
adm2_pkg Package Reference
Граф наследования:adm2_pkg:
ctrl_buft16 ctrl_buft32 ctrl_buft64

Полный список членов класса



Libraries

ieee 

Packages

std_logic_1164 

Components

ctrl_buft16  <Entity ctrl_buft16>
ctrl_buft32  <Entity ctrl_buft32>
ctrl_buft64  <Entity ctrl_buft64>

Types

bl_trd_rom  array ( 31 downto 0 ) of std_logic_vector ( 15 downto 0 )
std_logic_array_16x64  array ( 15 downto 0 ) of std_logic_vector ( 63 downto 0 )
std_logic_array_16x16  array ( 15 downto 0 ) of std_logic_vector ( 15 downto 0 )
std_logic_array_16x6  array ( 15 downto 0 ) of std_logic_vector ( 6 downto 0 )
std_logic_array_16xbl_cmd  array ( 15 downto 0 ) of bl_cmd
std_logic_array_16xbl_drq  array ( 15 downto 0 ) of bl_drq
std_logic_array_16xbl_irq  array ( 15 downto 0 ) of std_logic
std_logic_array_16xbl_reset_fifo  array ( 15 downto 0 ) of std_logic
std_logic_array_16xbl_trd_rom  array ( 15 downto 0 ) of bl_trd_rom
std_logic_array_16x7  array ( 15 downto 0 ) of std_logic_vector ( 6 downto 0 )
std_logic_array_16xbl_fifo_flag  array ( 15 downto 0 ) of bl_fifo_flag

Records

bl_cmd : record 
data_we  std_logic
cmd_data_we  std_logic
status_cs  std_logic
data_cs  std_logic
cmd_data_cs  std_logic
cmd_adr_we  std_logic
adr  std_logic_vector ( 9 downto 0 )
data_oe  std_logic
bl_drq : record 
en  std_logic
req  std_logic
ack  std_logic
bl_fifo_flag : record 
ef  std_logic
pae  std_logic
hf  std_logic
paf  std_logic
ff  std_logic
ovr  std_logic
und  std_logic

Подробное описание

См. определение в файле adm2_pkg.vhd строка 38


Объявления и описания членов класса находятся в файле: