AMBPEX5_v20_SX50T_CORE
Ports | Libraries | Packages
ctrl_buft64 Entity Reference
Граф наследования:ctrl_buft64:
ctrl_buft64 adm2_pkg trd_admdio64_in_v6 trd_admdio64_in_v6 ambpex5_v20_sx50t_core trd_admdio64_in_v6_pkg ambpex5_v20_sx50t_core ambpex5_v20_sx50t_core_pkg stend_ambpex5_core stend_ambpex5_core_m2 stend_ambpex5_core stend_ambpex5_core_m2

Полный список членов класса



Architectures

ctrl_buft64  Architecture

Libraries

ieee 

Packages

std_logic_1164 

Ports

t   in std_logic
i   in std_logic_vector ( 63 downto 0 )
o   out std_logic_vector ( 63 downto 0 )

Подробное описание

См. определение в файле ctrl_buft64.vhd строка 25


Объявления и описания членов класса находятся в файле: