AMBPEX5_v20_SX50T_CORE
ctrl_buft64 Architecture Reference
Граф наследования:ctrl_buft64:
ctrl_buft64 adm2_pkg trd_admdio64_in_v6 trd_admdio64_in_v6 ambpex5_v20_sx50t_core trd_admdio64_in_v6_pkg ambpex5_v20_sx50t_core ambpex5_v20_sx50t_core_pkg stend_ambpex5_core stend_ambpex5_core_m2 stend_ambpex5_core stend_ambpex5_core_m2

Подробное описание

См. определение в файле ctrl_buft64.vhd строка 35


Объявления и описания членов класса находятся в файле: