AMBPEX5_v20_SX50T_CORE
Ports | Libraries | Packages
ctrl_fifo1024x65_v5 Entity Reference
Граф наследования:ctrl_fifo1024x65_v5:
ctrl_fifo1024x65_v5_a cl_fifo1024x65_v5 cl_fifo1024x65_v5 cl_fifo1024x65_v5_pkg trd_admdio64_in_v6 trd_admdio64_out_v4 trd_admdio64_in_v6 trd_admdio64_out_v4 ambpex5_v20_sx50t_core trd_admdio64_in_v6_pkg ambpex5_v20_sx50t_core trd_admdio64_out_v4_pkg ambpex5_v20_sx50t_core ambpex5_v20_sx50t_core ambpex5_v20_sx50t_core_pkg stend_ambpex5_core stend_ambpex5_core_m2 ambpex5_v20_sx50t_core_pkg stend_ambpex5_core stend_ambpex5_core_m2 stend_ambpex5_core stend_ambpex5_core_m2 stend_ambpex5_core stend_ambpex5_core_m2

Полный список членов класса



Architectures

ctrl_fifo1024x65_v5_a  Architecture

Libraries

ieee 
XilinxCoreLib 

Packages

std_logic_1164 

Ports

din   in std_logic_vector ( 64 downto 0 )
rd_clk   in std_logic
rd_en   in std_logic
rst   in std_logic
wr_clk   in std_logic
wr_en   in std_logic
dout   out std_logic_vector ( 64 downto 0 )
empty   out std_logic
full   out std_logic
prog_empty   out std_logic
prog_full   out std_logic
rd_data_count   out std_logic_vector ( 0 downto 0 )
wr_data_count   out std_logic_vector ( 0 downto 0 )

Подробное описание

См. определение в файле ctrl_fifo1024x65_v5.vhd строка 43


Объявления и описания членов класса находятся в файле: