DS_DMA
Ports | Libraries | Packages
core64_interrupt Entity Reference
Граф наследования:core64_interrupt:
core64_interrupt core64_interrupt_pkg pcie_core64_m1 pcie_core64_m4 pcie_core64_m6 pcie_core64_m1 pcie_core64_m4 pcie_core64_m6 pcie_core64_m1_pkg pcie_core64_m2 pcie_core64_m4_pkg pcie_core64_m5 pcie_core64_m6_pkg pcie_core64_m7 pcie_core64_m2 pcie_core64_m5 pcie_core64_m7 pcie_core64_m2_pkg pcie_core64_m5_pkg pcie_core64_m7_pkg

Полный список членов класса



Architectures

core64_interrupt  Architecture

Libraries

ieee 

Packages

std_logic_1164 
std_logic_arith 
std_logic_unsigned 

Ports

rstp   in std_logic
 1 - сброс
clk   in std_logic
 Тактовая частота ядра 250 МГц
irq   in std_logic
 1 - запрос прерывания
cfg_command10   in std_logic
 1 - прерывания запрещены
cfg_interrupt   out std_logic
 0 - изменение состояния прерывания
cfg_interrupt_assert   out std_logic
 0 - формирование прерывания, 1 - сниятие прерывания
cfg_interrupt_rdy   in std_logic
 0 - подтверждение изменения прерывания

Подробное описание

См. определение в файле core64_interrupt.vhd строка 46


Объявления и описания членов класса находятся в файле: