DS_DMA
Constants | Signals | Component Instantiations | Functions
ctrl_ram16_v1 Architecture Reference
Граф наследования:ctrl_ram16_v1:
ctrl_ram16_v1 block_pe_main ctrl_ram16_v1_pkg block_pe_main block_pe_main_pkg pcie_core64_m2 pcie_core64_m5 pcie_core64_m7 pcie_core64_m2 pcie_core64_m5 pcie_core64_m7 pcie_core64_m2_pkg pcie_core64_m5_pkg pcie_core64_m7_pkg

Полный список членов класса



Functions

bit_vector   conv_rom ( rom: in bh_rom , mode: in integer )
string   conv_string ( rom: in bh_rom , mode: in integer )

Constants

rom_init_00  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 0 )
rom_init_01  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 1 )
rom_init_02  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 2 )
rom_init_03  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 3 )
rom_init_04  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 4 )
rom_init_05  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 5 )
rom_init_06  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 6 )
rom_init_07  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 7 )
rom_init_08  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 8 )
rom_init_09  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 9 )
rom_init_0A  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 10 )
rom_init_0B  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 11 )
rom_init_0C  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 12 )
rom_init_0D  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 13 )
rom_init_0E  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 14 )
rom_init_0F  bit_vector ( 15 downto 0 ) := conv_rom ( rom , 15 )
str_init_00  string := conv_string ( rom , 0 )
str_init_01  string := conv_string ( rom , 1 )
str_init_02  string := conv_string ( rom , 2 )
str_init_03  string := conv_string ( rom , 3 )
str_init_04  string := conv_string ( rom , 4 )
str_init_05  string := conv_string ( rom , 5 )
str_init_06  string := conv_string ( rom , 6 )
str_init_07  string := conv_string ( rom , 7 )
str_init_08  string := conv_string ( rom , 8 )
str_init_09  string := conv_string ( rom , 9 )
str_init_0A  string := conv_string ( rom , 10 )
str_init_0B  string := conv_string ( rom , 11 )
str_init_0C  string := conv_string ( rom , 12 )
str_init_0D  string := conv_string ( rom , 13 )
str_init_0E  string := conv_string ( rom , 14 )
str_init_0F  string := conv_string ( rom , 15 )

Signals

wr  std_logic

Component Instantiations

xram0  ram16x1d
xram1  ram16x1d
xram2  ram16x1d
xram3  ram16x1d
xram4  ram16x1d
xram5  ram16x1d
xram6  ram16x1d
xram7  ram16x1d
xram8  ram16x1d
xram9  ram16x1d
xram10  ram16x1d
xram11  ram16x1d
xram12  ram16x1d
xram13  ram16x1d
xram14  ram16x1d
xram15  ram16x1d

Подробное описание

См. определение в файле ctrl_ram16_v1.vhd строка 73


Объявления и описания членов класса находятся в файле: