DS_DMA
ctrl_ext_ram_pkg Cписок членов класса
Полный список членов класса ctrl_ext_ram_pkg, включая наследуемые из базового класса
aclkctrl_ext_ram [Port]
act (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
adr_hi_wr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
allow_wrctrl_ram_cmd [Port]
block_rd_eot (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
block_wr_eot (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
carry (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
cb (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch0_adr_hi (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch0_adr_hi_wr (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch0_next_block (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch1_adr_hi (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch1_adr_hi_wr (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ch1_next_block (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
clkctrl_ext_ram [Port]
cmd (определено в ctrl_ext_ram)ctrl_ext_ram [Component Instantiation]
cmd0 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Component Instantiation]
cmd1 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Component Instantiation]
cnt_rstp (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
core64_type_pkg (определено в ctrl_ext_ram_pkg)ctrl_ext_ram_pkg [Package]
ctrl_ext_ram (определено в ctrl_ext_ram_pkg)ctrl_ext_ram_pkg [Component]
ctrl_ram_cmd_pb_pkg (определено в ctrl_ram_cmd)ctrl_ram_cmd [Package]
ctrl_ram_cmd_pkg (определено в ctrl_ext_ram)ctrl_ext_ram [Package]
data_in (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma0_ctrl (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma0_transfer_rdy (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma1_ctrl (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma1_transfer_rdy (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_chn (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_rdaddr (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_rddata (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_wr (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_wr_en (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_wraddr (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dma_wrdata (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
dmar (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
dmar0 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
dmar1 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
dmari (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
dsp (определено в ctrl_ram_cmd)ctrl_ram_cmd [Component Instantiation]
ext_fifo_dispctrl_ext_ram [Port]
ext_fifo_disp_backctrl_ext_ram [Port]
flag0_clr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag0_set (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag1_clr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag1_set (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag2_clr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag2_set (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
flag_clr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
flag_data (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
flag_set (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
ieee (определено в ctrl_ext_ram_pkg)ctrl_ext_ram_pkg [Library]
is_dsp48 (определено в ctrl_ext_ram)ctrl_ext_ram [Generic]
loc_adr_we (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
next_block (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
opmode (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pb_current_block (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pb_fclr (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pb_flag_clr (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pb_flag_set (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pb_fset (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf0_act (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf0_cb (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf0_dma_rd_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf0_dma_wr_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf0_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf1_act (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf1_cb (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf1_dma_rd_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf1_dma_wr_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf1_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf_adr (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
pf_cb (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
pf_chn (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
pf_dma_rd_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf_dma_wr_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf_flag_clr (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf_flag_set (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pf_ram_rd (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
pf_ram_rd_out (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
pf_ram_rd_z (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
pf_repack_di (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
pf_repack_we (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
pf_repack_we_z (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
port_a (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
port_b (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
port_c (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
port_p (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
pr0_transfer_rdy(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr1_transfer_rdy(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_ch0_adr_hi(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_ch1_adr_hi(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_current_block0(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_current_block1(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_dsp(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_flag1_clr(flag0_clr(ii), clk) (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Process]
pr_flag1_set(flag0_set(ii), clk) (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Process]
pr_pf_ram_rd(aclk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
pr_state(aclk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
PROCESS_0(clk) (определено в ctrl_ram_cmd)ctrl_ram_cmd [Process]
ram (определено в ctrl_ext_ram)ctrl_ext_ram [Component Instantiation]
ram0_transfer_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ram1 (определено в ctrl_ext_ram)ctrl_ext_ram [Component Instantiation]
ram1_transfer_rdy (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
ram_adra (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
ram_adra_a9 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
ram_adrb (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
ram_change (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
ram_we_a (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
ram_we_b (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
rdy (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
reg_ch0_ctrl (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
reg_ch1_ctrl (определено в ctrl_ext_ram)ctrl_ext_ram [Signal]
reg_ctrl (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Port]
reg_write_E0 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Port]
reg_write_E0_z (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
reg_write_E0_z1 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
request_rdctrl_ram_cmd [Port]
request_wrctrl_ram_cmd [Port]
reset (определено в ctrl_ext_ram)ctrl_ext_ram [Port]
rst_p (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
rst_p0 (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
start_rd (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
start_rd0 (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
start_rd1 (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
start_wr (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
start_wr0 (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
start_wr1 (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Signal]
std_logic_1164 (определено в ctrl_ext_ram_pkg)ctrl_ext_ram_pkg [Package]
std_logic_arith (определено в ctrl_ext_ram)ctrl_ext_ram [Package]
std_logic_unsigned (определено в ctrl_ext_ram)ctrl_ext_ram [Package]
stp (определено в ctrl_ram_cmd)ctrl_ram_cmd [Signal]
stp_type (определено в ctrl_ram_cmd)ctrl_ram_cmd [Type]
tig (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Attribute]
tig (определено в ctrl_ram_cmd_pb)ctrl_ram_cmd_pb [Attribute]
unisim (определено в ctrl_ext_ram)ctrl_ext_ram [Library]
vcomponents (определено в ctrl_ext_ram)ctrl_ext_ram [Package]
work (определено в ctrl_ext_ram_pkg)ctrl_ext_ram_pkg [Library]